Home  >  Article  >  Technology peripherals  >  Sources say Intel is considering introducing DSA technology to assist High NA EUV lithography to improve pattern quality

Sources say Intel is considering introducing DSA technology to assist High NA EUV lithography to improve pattern quality

WBOY
WBOYforward
2024-04-20 08:28:151069browse

According to news from this site on April 19, foreign media SemiAnalysis and The Elec reported that Intel is considering introducing directional self-assembly DSA technology to assist in future High NA EUV lithography nodes.

DSA is one of the new patterning technologies that is considered to be able to partially replace traditional photolithography (note on this site: the other is nanoimprinting NIL), which utilizes the molecular properties of chimeric copolymers to achieve Patterning. It is generally considered to be suitable for assisting traditional photolithography rather than being used independently.

消息称英特尔考虑引入 DSA 技术辅助 High NA EUV 光刻,提升图案质量
▲ Block copolymer molecules can automatically arrange into regular patterns under induction. Source: Merck, Germany

SemiAnalysis believes that a big problem facing High NA EUV lithography is the consistency of critical dimensions (CD, a key indicator for measuring the sophistication of semiconductor processes). The contradiction between the timing irradiation dose and the wafer throughput of the photolithography machine leads to the variation of CD. In order to solve this problem, a series of measures need to be taken to improve the stability of the lithography machine and the uniformity of the lithography dose.

If the wafer fab needs to have a good patterning effect while ensuring critical dimensions, then the irradiation dose must be increased. This will slow down the lithography process, reduce the wafer throughput of the lithography machine, and increase the cost burden of the wafer fab.

If the fab runs the lithography machine at a higher throughput, it means that the quality of the lithography pattern decreases as the irradiation dose decreases. At this point, DSA directional self-assembly technology can come into play to repair feature errors on the photolithography pattern.

The introduction ofDSA directional self-assembly can improve the quality of photolithography patterns while reducing the irradiation dose and improving the wafer throughput of the photolithography machine, making High NA EUV lithography more cost-effective sex.

In addition to DSA, Intel is also considering introducing pattern shaping technology into High NA EUV lithography.

Applied Materials released the Centura Sculpta pattern shaping system early last year. This system can precisely modify the characteristic patterns on the wafer in a direction and reduce the number of photolithography times for key layers. It also has the effect of improving the quality of photolithography patterns.

Samsung Electronics also has the intention to introduce the Centura Sculpta system.

Intel researcher Mark Phillip emphasized: "In order to improve the efficiency of the lithography process, it is necessary to introduce equipment other than the lithography machine to supplement it."

The above is the detailed content of Sources say Intel is considering introducing DSA technology to assist High NA EUV lithography to improve pattern quality. For more information, please follow other related articles on the PHP Chinese website!

Statement:
This article is reproduced at:ithome.com. If there is any infringement, please contact admin@php.cn delete