首頁  >  文章  >  quartus是什麼軟體

quartus是什麼軟體

藏色散人
藏色散人原創
2020-01-07 11:01:3315562瀏覽

quartus是什麼軟體?

Altera Quartus是Altera公司推出的可程式邏輯裝置電子設計自動化開發軟體。它可以識別電路的Verilog或VHDL高級硬體描述語言表述,或讀取指定格式的線路圖;進而完成邏輯仿真、功能驗證、邏輯綜合等任務,對器件的進行編程,即將設計項目轉換到實際的硬件。該軟體提供了邏輯電路的可視化設計以及向量波形的模擬等功能。

quartus是什麼軟體

Altera Quartus II 作為一種可程式邏輯的設計環境, 由於其強大的設計能力和直觀易用的接口,越來越受到數位系統設計者的歡迎。目前官方提供下載的最新版本是v17.0。

Altera Quartus II (3.0和更高版本)設計軟體是業界唯一提供FPGA和固定功能HardCopy裝置統一設計流程的設計工具。工程師使用同樣的低價位工具對 Stratix FPGA進行功能驗證和原型設計,又可以設計HardCopy Stratix元件用於批量成品。系統設計者現在能夠用Quartus II軟體評估HardCopy Stratix元件的效能和功耗,並相應地進行最大吞吐量設計。

Altera的Quartus II可程式邏輯軟體屬於第四代PLD開發平台。該平台支援一個工作小組環境下的設計要求,其中包括支援基於Internet的協作設計。 Quartus平台與Cadence、ExemplarLogic、 MentorGraphics、Synopsys和Synplicity等EDA供應商的開發工具相容。改進了軟體的LogicLock模組設計功能,增添了FastFit編譯選項,推進了網路編輯效能,而且提升了調試能力。

以上是quartus是什麼軟體的詳細內容。更多資訊請關注PHP中文網其他相關文章!

陳述:
本文內容由網友自願投稿,版權歸原作者所有。本站不承擔相應的法律責任。如發現涉嫌抄襲或侵權的內容,請聯絡admin@php.cn