Rumah  >  Artikel  >  pembangunan bahagian belakang  >  Apakah variasi berbeza untuk lelaran gelung?

Apakah variasi berbeza untuk lelaran gelung?

WBOY
WBOYke hadapan
2023-09-07 14:53:02915semak imbas

Bentuk umum pernyataan

Apakah variasi berbeza untuk lelaran gelung?

for adalah seperti berikut −

for (initialization; condition; operation)
statement;
  • Initialization ialah pernyataan tugasan yang digunakan untuk menetapkan pembolehubah kawalan gelung.

  • Sesuatu keadaan ialah ungkapan hubungan yang menentukan apabila gelung keluar. Tindakan

  • mentakrifkan cara pembolehubah gelung berubah setiap kali gelung berulang.

  • Dalam untuk gelung, ujian bersyarat dilaksanakan di bahagian atas gelung. Ini bermakna kod di dalam gelung tidak boleh dilaksanakan apabila syarat itu palsu.

Pertama seperti yang ditunjukkan dalam contoh berikut:

x = 10;
for (y=10; y != x; ++y)
printf (“ %d”, y);

Variasi 1

Ini termasuk pengendali koma. Melalui pengendali koma, variasi gelung for boleh dilaksanakan seperti yang ditunjukkan dalam contoh berikut −

for(x=0, y=0; x+y < 10; ++x);

Di sini, kedua-dua x dan y mengawal gelung.

Variasi 2

Ini termasuk bahagian yang tiada pada definisi gelung. Ciri menarik bagi gelung for ialah bahagian definisi gelung tidak perlu wujud.

Sebagai contoh,

for (x=0; x!=456; )
scanf ("%d", &x);

Di sini, setiap kali gelung berulang, x diuji untuk menyemak sama ada ia sama dengan 456. Apabila 456 dimasukkan, keadaan gelung menjadi palsu dan gelung ditamatkan.

Variasi 3

Ini termasuk gelung tak terhingga. Jika semua bahagian definisi gelung tiada, gelung tak terhingga dicipta. Pernyataan putus digunakan untuk keluar daripada gelung, seperti yang ditunjukkan dalam contoh di bawah −

for(;;){
   ch = getchar();
   if(ch == &#39;A&#39;)
      break;
}

Variasi 4

Ini termasuk gelung for tanpa badan. Badan gelung for juga boleh kosong. Ini meningkatkan kecekapan beberapa kod.

Sebagai contoh,

mari kita alih keluar ruang utama dari aliran yang menghala ke str −

for ( ; *str==&#39; &#39;; str++) ;

Satu lagi aplikasi gelung ialah kelewatan masa badan kosong seperti yang ditunjukkan dalam contoh yang diberikan di bawah −

for (t=0; t<1000; t++);

Atas ialah kandungan terperinci Apakah variasi berbeza untuk lelaran gelung?. Untuk maklumat lanjut, sila ikut artikel berkaitan lain di laman web China PHP!

Kenyataan:
Artikel ini dikembalikan pada:tutorialspoint.com. Jika ada pelanggaran, sila hubungi admin@php.cn Padam