Home  >  Article  >  Technology peripherals  >  Intel receives large customer advance payment and expects to begin production of Intel 18A late next year

Intel receives large customer advance payment and expects to begin production of Intel 18A late next year

王林
王林forward
2023-09-11 12:29:011116browse

According to news from this site on September 3, Intel CEO Pat Gelsinger talked about Intel’s product roadmap and technology evolution at Deutsche Bank’s 2023 Technology Conference.

I would like to say that I just went to Oregon yesterday with our technical development team and it was not bad. We feel like we're on the right track with Intel 18A. For foundry customers, they need reliable PDK. They have to believe that we can do this. Our interest in this area continues to increase and progress is very good

"We believe Intel 18A will be in production late next year, putting us in a leading position in 2025. Our in-house products such as Clearwater Forest are progressing Going smoothly, our next-generation customer products have all entered the late design stage, as have our foundry customers."

"Now, we have received a large amount of prepayment from customers for 18A production capacity. Customers have already expressed interest in us. We feel confident enough that we have chosen to inject capital to accelerate our 18A capacity. We are very happy about that. Overall, as we said, everything is moving forward steadily. Customer prepayment has really supported the development of 18A Momentum and manufacturing capacity have been highlighted."

"TSMC has established the market, and we are TSMC's customers. So I know very well their wafer costs, their average wafer selling prices, their sales to Its N5 customers, N3 customers show N2 budget. We know what the goal is.” The last node of the "process node" plan is currently steadily advancing internal and external test chips as planned. It is expected to be production ready in the second half of 2024 and be launched in 2025.

Intel said that more than five internal products are currently being developed based on the latest Intel 18A process node, and Intel 18A is expected to be available in 2025. The next-generation energy-efficient core Intel Xeon Scalable processor, codenamed Clearwater Forest, is scheduled to be delivered in 2025 and will use the Intel 18A process.

英特尔收到大额客户预付款,预计明年年底开始生产 Intel 18AIn terms of foundry, the Intel 18A process node will initially increase production through Intel's internal products, so that various problems in the process can be properly solved, so it will serve Intel's foundry to a large extent. External customers reduce the risk of new processes. Recently, Intel announced that it has signed a multi-generation cooperation agreement with Synopsys to deepen its long-term strategic partnership in the fields of semiconductor IP and EDA (electronic design automation) and jointly develop Intel 3 and Intel 18A process-based products for Intel OEM service customers. IP portfolio of nodes. Previously, Arm has signed an agreement with Intel Foundry Services involving multi-generation cutting-edge system chip design, allowing chip design companies to use Intel 18A to develop low-power computing system-on-chip (SoC); Intel will also use Intel 18A for Swedish Telecom Equipment vendor Ericsson builds customized 5G system-level chips.
Intel also released the latest RibbonFET transistor, which will be launched on the Intel 20A process node. The following is an introduction to RibbonFET transistors:

Through RibbonFET transistors, Intel has successfully implemented a gate-all-around (GAA, gate-all-around) architecture. This architecture will be launched on the Intel 20A process node together with PowerVia backside power supply technology, and will continue to be used on the Intel 18A process node to help Intel regain its process leadership, improve product performance, and provide Intel's foundry service customers with better High-Quality Service

In a transistor, the gate acts like a switch to control the flow of current. In 2012, Intel took the lead in introducing FinFET (Fin Field Effect Transistor) technology, which allows the gate to surround the upper, left, and right sides of the transistor channel. This vertical architecture enables more transistors to be integrated into a chip, effectively driving the continuation of Moore's Law over the past decade.

With transistors As the size continues to shrink, the short channel effect becomes more and more obvious, and current control becomes more and more difficult. FinFET is approaching its physical limit. As Intel's first new transistor architecture since FinFET, the RibbonFET full-surround gate transistor uses a strip-shaped transistor channel to be completely surrounded by the gate. Its main advantages include the following three aspects:

英特尔收到大额客户预付款,预计明年年底开始生产 Intel 18AFirst, in RibbonFET transistors, the gate can better control the flow of current and provide stronger drive current at any voltage, allowing the transistor to switch faster, thus improving the performance of the transistor;

Second, the horizontal channels of the RibbonFET transistor architecture can be stacked vertically instead of just placing the fins side by side like FinFET, thus enabling the same performance to be achieved in a smaller space, thereby promoting Further shrinking of transistor size
  • Thirdly, RibbonFET will further enhance the flexibility of chip design. Its channel can be widened or narrowed according to needs, making it more suitable for different application scenarios, whether it is a mobile phone or a computer. Whether it’s gaming or medical care, cars or artificial intelligence, it can be easily configured on demand.

Advertising statement: This article contains external jump links (including but not limited to hyperlinks, QR codes, passwords, etc.), which are designed to provide more information and save screening time. for reference only. Please note that all articles on this site contain this statement

The above is the detailed content of Intel receives large customer advance payment and expects to begin production of Intel 18A late next year. For more information, please follow other related articles on the PHP Chinese website!

Statement:
This article is reproduced at:ithome.com. If there is any infringement, please contact admin@php.cn delete